VHDL Code for a 1-to-4 Demultiplexer (DEMUX)

vhdl
demultiplexer
demux
source code
logic design

This page provides VHDL source code for a 1-to-4 DEMUX. We’ll cover the block diagram, truth table, and the VHDL code itself.

Block Diagram of 1-to-4 DEMUX

The following image illustrates the block diagram of a 1-to-4 DEMUX.

1 to 4 DEMUX Block Diagram

Truth Table of 1-to-4 DEMUX

The truth table below describes the operation of the 1-to-4 DEMUX.

1 to 4 DEMUX Truth Table

1-to-4 DEMUX VHDL Code

Here’s the VHDL code for a 1-to-4 DEMUX. This code uses a case statement to route the input signal to the appropriate output based on the select lines.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity demux1_4 is
    port (
        a_in  : in  std_logic;
        sel   : in  std_logic_vector (1 downto 0);
        y_out : out std_logic_vector (3 downto 0)
    );
end demux1_4;

architecture behavioral of demux1_4 is
begin
    process (a_in, sel)
    begin
        case (sel) is
            when "00" =>
                y_out (0) <= a_in;
                y_out (1) <= '0';
                y_out (2) <= '0';
                y_out (3) <= '0';
            when "01" =>
                y_out (0) <= '0';
                y_out (1) <= a_in;
                y_out (2) <= '0';
                y_out (3) <= '0';
            when "10" =>
                y_out (0) <= '0';
                y_out (1) <= '0';
                y_out (2) <= a_in;
                y_out (3) <= '0';
            when "11" =>
                y_out (0) <= '0';
                y_out (1) <= '0';
                y_out (2) <= '0';
                y_out (3) <= a_in;
            when others =>
                null; -- Optional: Handle unexpected select values
        end case;
    end process;
end behavioral;
Verilog Code for 1 to 4 Demultiplexer

Verilog Code for 1 to 4 Demultiplexer

This article provides Verilog source code for a 1 to 4 DEMUX, accompanied by a block diagram and truth table for enhanced understanding.

verilog
demultiplexer
digital logic

1x8 Demultiplexer VHDL Source Code

VHDL source code for a 1x8 demultiplexer (DEMUX) implementation. Includes code and related VHDL resources.

vhdl
demultiplexer
source code

16QAM Modulation VHDL Source Code

VHDL source code for 16QAM modulation implementation. Includes entity and architecture declarations for QAM modulation.

vhdl
modulation
source code
VHDL Code for a 2 to 4 Decoder

VHDL Code for a 2 to 4 Decoder

This article provides VHDL source code for a 2-to-4 decoder, along with a block diagram and truth table for understanding its operation.

vhdl
decoder
2 to 4 decoder